欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    电子设计自动化技术实验.docx

    • 资源ID:10249147       资源大小:1.26MB        全文页数:26页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    电子设计自动化技术实验.docx

    1、电子设计自动化技术实验电子设计自动化技术实验实验一 熟悉Quartusll的图形输入法1、 实验目的掌握Quartusll的使用方法(1) 熟悉图形输入法(2) 理解编译方法(3) 了解定时仿真2、 实验内容(1) 设计一个二选一数据选择器,全加器(2) 根据图形输入法编译和波形仿真3、 实验要求(1) 熟悉图形逻辑输入法(2) 理解编译方法,了解功能仿真的方法和定时仿真的方法(3) 了解把逻辑变成一个逻辑符号的方法4、实验步骤(1)建立设计项目在Quartusll管理器窗口中选择菜单filenew project wizard,出现新建项目向导new project wizard对话框的第一

    2、页,在对话框中输入项目路径,项目名称和顶层实体文件名mux 21-3 新建项目向导第二页,单击按钮”,可浏览文件选项,添加或删除与该项目有关的文件,然后next新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,mux21-3项目出现在项目导航窗口2、输入文本文件新建VHDL文本文件,在Quartusll管理器界面中选择菜单

    3、filenew,或单击新建文件按钮,出现new对话框,在Design File中选择VHDL File,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存输入二选一数据选择器文件为Library ieee;Use ieee.std_logic_1164.all;Entity mux21_3 isPort(i0,i1,sel:in std_logic;y:out std_logic);End mux21_3;Architecture verl of mux 21_3 isBegin With sel selety=i0 when0;i1 when1;X

    4、 when others;End verl;3、 执行编译设置顶层文件:首先打开准备进行编译的mux21-3.vhd,执行菜单命令project/set as TOP_level Etity,下面进行设计处理的各项操作就是针对顶层文件mux21-3进行的。执行编译:选择菜单projectstart compilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译4、波形仿真(1)新建波形文件选择菜单filenew,在new 对话框中选择VerficationDebugging Filevector waveform file,出现波形编辑

    5、窗口,将文件保存为mux21-3.vwf(2)设置仿真器鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignmentssetting,在settings对话框的Category栏目下选择Simulator Settings,在右侧出现的对话框中设置仿真器,选择Functional(3) 插入仿真节点在波形编辑窗口的name栏目下,单击鼠标右键,选择InsertInsert node or bus ,出现Insert node or bus的对话框,查找节点信息,插入节点 选择合理的筛选范围,单击list,列出所选节点信号,接着在nodes found栏目下选择波形仿真需要观察的

    6、节点信号,然后单击送入选中按钮,在selected nodes栏目下,列出选中节点,全部节点选择完成后,按ok确认5、编辑输入波形 选择菜单EditEnd Time,根据需要修改最大仿真时间选择菜单EditGrid size,根据需要修改网格大小6、运行仿真器选择菜单Projectingstart simulation或者单击工具栏中的仿真快捷键按钮运行仿真程序仿真得到的图形如下 原理图文件输入1、 建立原理图文件选择菜单filenew在出现的对话框中选择Design FileBlock DiagramSchematic File,则打开图形编辑器,出现空白的原理图文件,选择菜单fileSav

    7、e as,输入文件名,保存该文件2、 使用模块符号库图形编辑器的左侧是输入按钮,最常用的是模块符号组,单击模块符号按钮,打开symbol对话框,在对话框中,输入各种逻辑电路符号,绘制电路图如下对该电路图进行仿真,仿真图形如下实验二 熟悉Quarstusll的VHDL语言描述输入法一、实验目的(1) VHDL语言描述输入法(2) 理解编译方法(3) 熟悉波形仿真1、 实验内容(1) 设计一个4位并行奇校验发生器(2) 根据VHDL语言描述输入法编译和波形的仿真2、 实验要求(1)、熟悉VHDL语言描述输入法(2)、理解编译方法,了解功能仿真的方法和定时仿真的方法 (3)、了解把逻辑功能变成一个逻

    8、辑符号的方法3、实验步骤在Quartusll管理器窗口中选择菜单filenew project wizard,出现新建项目向导new project wizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名parity-loop 新建项目向导第二页,单击按钮”,可浏览文件选项,添加或删除与该项目有关的文件,然后next新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具在新建项目向

    9、导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,parity-loop项目出现在项目导航窗口2、输入文本文件新建VHDL文本文件,在Quartusll管理器界面中选择菜单filenew,或单击新建文件按钮,出现new对话框,在Design File中选择VHDL File,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存程序如下:3、 执行编译设置顶层文件:首先打开准备进行编译的mux21-3.vhd,执行菜单命令project/set as TOP_level Etity,下面进行设计处理的各项操作就是针对顶层文件mux21-3

    10、进行的。执行编译:选择菜单projectstart compilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译4、波形仿真(1)新建波形文件选择菜单filenew,在new 对话框中选择VerficationDebugging Filevector waveform file,出现波形编辑窗口,将文件保存为parity-loop.vwf(2)设置仿真器鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignmentssetting,在settings对话框的Category栏目下选择Simulator Settings,

    11、在右侧出现的对话框中设置仿真器,选择Functional(4) 插入仿真节点在波形编辑窗口的name栏目下,单击鼠标右键,选择InsertInsert node or bus ,出现Insert node or bus的对话框,查找节点信息,插入节点 选择合理的筛选范围,单击list,列出所选节点信号,接着在nodes found栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selected nodes栏目下,列出选中节点,全部节点选择完成后,按ok确认5、编辑输入波形 选择菜单EditEnd Time,根据需要修改最大仿真时间选择菜单EditGrid size,根据需要修改网

    12、格大小6、运行仿真器选择菜单Projectingstart simulation或者单击工具栏中的仿真快捷键按钮运行仿真程序仿真得到的图形如下实验三 JK触发器的设计1实验目的:掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言2 、实验内容 (1)设计一个JK触发器(2)根据VHDL语言描述输入法编译和波形仿真。3 、实验要求(1) 熟悉VHDL语言描述输入法(2) 设计1位带异步复位/置位功能的JK触发器VHDL源程序(低电平有效) (3) 用QuartusII软件编译和波形仿真(4) 把自己认为好的实验结果写成实验报告。(要计成绩)输

    13、入输出prnclrclkJKQQb01xxx1010xxx0100xxxxx11上升沿00不变不变11上升沿010111上升沿101011上升沿11翻转翻转其中 预置端prn 复位端clr 时钟端clk实验步骤在Quartusll管理器窗口中选择菜单filenew project wizard,出现新建项目向导new project wizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名JK 新建项目向导第二页,单击按钮”,可浏览文件选项,添加或删除与该项目有关的文件,然后next新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,

    14、即系列中的EPLC6Q24C8芯片,然后单击next新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,项目出现在项目导航窗口2、输入文本文件新建VHDL文本文件,在Quartusll管理器界面中选择菜单filenew,或单击新建文件按钮,出现new对话框,在Design File中选择VHDL File,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语言规则输入设计文件,并将其保存程序如下:library ieee;use ieee.std_log

    15、ic_1164.all;entity JK isport(prn,clr,clk ,J,K : in std_logic; Q,Qb : out std_logic);end JK;architecture a of JK is signal a,b : std_logic;begin process(prn,clr,clk,J,K,a,b)beginif prn=0and clr=0 then a=X;b=X;elsif prn=0and clr=1 then a=1; b=0;elsif prn=1and clr=0 then a=0; b=1;else if rising_edge(cl

    16、k) then if j=0 then if k=0 then null; else a=0; b=1; end if; else if(k=0) then a=1; b=0; else a=not a; b=not b; end if; end if;end if;end if;end process;q=a;qb=b;end a ;3、 执行编译设置顶层文件:首先打开准备进行编译的JK.vhd,执行菜单命令project/set as TOP_level Etity,下面进行设计处理的各项操作就是针对顶层文件JK进行的。执行编译:选择菜单projectstart compilation,或

    17、直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译4、波形仿真(1)新建波形文件选择菜单filenew,在new 对话框中选择VerficationDebugging Filevector waveform file,出现波形编辑窗口,将文件保存为JK.vwf(2)设置仿真器鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignmentssetting,在settings对话框的Category栏目下选择Simulator Settings,在右侧出现的对话框中设置仿真器,选择Functional(5) 插入仿真节点在波形编辑窗口的na

    18、me栏目下,单击鼠标右键,选择InsertInsert node or bus ,出现Insert node or bus的对话框,查找节点信息,插入节点 选择合理的筛选范围,单击list,列出所选节点信号,接着在nodes found栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selected nodes栏目下,列出选中节点,全部节点选择完成后,按ok确认5、编辑输入波形 选择菜单EditEnd Time,根据需要修改最大仿真时间选择菜单EditGrid size,根据需要修改网格大小6、运行仿真器选择菜单Projectingstart simulation或者单击工具栏中

    19、的仿真快捷键按钮运行仿真程序仿真得到的图形如下实验四 6位双向移位寄存器的设计 1实验目的:掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言(3) 理解if语句进行描述计数器。2 、实验内容 (1)设计一个6位双向移位寄存器(2)根据VHDL语言描述输入法编译和波形仿真。3 、实验要求(1) 熟悉VHDL语言描述输入法(2) 设计一个移位寄存器的VHDL程序,既能从高位向低位移动,又能从低位向高位移动。端口说明: 预置数据输入端:predata 脉冲输入端:clk 移位寄存器输出端:dout 工作模式控制端:M1,M0 左移串行数据输入:

    20、ds1 右移串行数据输入(低位向高位):dsr 寄存器复位端:reset(3) 用QuartusII软件编译和波形仿真(4) 把自己认为好的实验结果写成实验报告。(要计成绩)(5) 工作模式控制表:M1 M0模式0 0保持0 1右移1 0 左移1 1预加载3、 实验步骤在Quartusll管理器窗口中选择菜单filenew project wizard,出现新建项目向导new project wizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名shuangxiangyiweijicun 新建项目向导第二页,单击按钮”,可浏览文件选项,添加或删除与该项目有关的文件,然后n

    21、ext新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,项目出现在项目导航窗口2、输入文本文件新建VHDL文本文件,在Quartusll管理器界面中选择菜单filenew,或单击新建文件按钮,出现new对话框,在Design File中选择VHDL File,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照VHDL语

    22、言规则输入设计文件,并将其保存程序如下:3、 执行编译设置顶层文件:首先打开准备进行编译的shuangxiangyiweijicun.vhd,执行菜单命令project/set as TOP_level Etity,下面进行设计处理的各项操作就是针对顶层文件JK进行的。执行编译:选择菜单projectstart compilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译4、波形仿真(1)新建波形文件选择菜单filenew,在new 对话框中选择VerficationDebugging Filevector waveform file

    23、,出现波形编辑窗口,将文件保存为shuangxiangyiweijicun.vwf(2)设置仿真器鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignmentssetting,在settings对话框的Category栏目下选择Simulator Settings,在右侧出现的对话框中设置仿真器,选择Functional(6) 插入仿真节点在波形编辑窗口的name栏目下,单击鼠标右键,选择InsertInsert node or bus ,出现Insert node or bus的对话框,查找节点信息,插入节点 选择合理的筛选范围,单击list,列出所选节点信号,接着在node

    24、s found栏目下选择波形仿真需要观察的节点信号,然后单击送入选中按钮,在selected nodes栏目下,列出选中节点,全部节点选择完成后,按ok确认5、编辑输入波形 选择菜单EditEnd Time,根据需要修改最大仿真时间选择菜单EditGrid size,根据需要修改网格大小6、运行仿真器选择菜单Projectingstart simulation或者单击工具栏中的仿真快捷键按钮运行仿真程序仿真得到的图形如下实验五 电子钟的VHDL程序设计 1实验目的:掌握QuartusII的VHDL语言描述输入法(1) 掌握VHDL语言描述输入法(2) 掌握VHDL语言(3) 掌握VHDL语言描

    25、述和图形设计的结合2 、实验内容 (1)设计电子钟的VHDL程序(2)根据VHDL语言描述输入法编译和波形仿真。3 、实验要求(1) 熟悉VHDL语言描述输入法(2) 设计一个含时、分、秒的时钟 (3) 用QuartusII软件编译和波形仿真(4) 把自己认为好的实验结果写成实验报告。(要计成绩)4、 实验步骤在Quartusll管理器窗口中选择菜单filenew project wizard,出现新建项目向导new project wizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名time 新建项目向导第二页,单击按钮”,可浏览文件选项,添加或删除与该项目有关的文件

    26、,然后next新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next新建项目向导第四页,添加第三方EDA综合,仿真,定时等分析工具,系统默认选项Quartusll的分析工具在新建项目向导对话框的最后一页,给出前面输入内容的总览,单击finish按钮,项目出现在项目导航窗口2、输入文本文件新建VHDL文本文件,在Quartusll管理器界面中选择菜单filenew,或单击新建文件按钮,出现new对话框,在Design File中选择VHDL File,单击ok按钮,打开文本编辑器,在文本编辑器窗口下,按照V

    27、HDL语言规则输入设计文件,并将其保存程序如下: 3、 执行编译设置顶层文件:首先打开准备进行编译的time.vhd,执行菜单命令project/set as TOP_level Etity,下面进行设计处理的各项操作就是针对顶层文件time进行的。执行编译:选择菜单projectstart compilation,或直接单击工具栏的编译快捷按钮,开始执行编译操作,如果编译有错误,需要重新修改设计,重新进行编译4、波形仿真(1)新建波形文件选择菜单filenew,在new 对话框中选择VerficationDebugging Filevector waveform file,出现波形编辑窗口,

    28、将文件保存为time.vwf(2)设置仿真器鼠标右键单击项目名选择Setting或者直接选择菜单命令Assignmentssetting,在settings对话框的Category栏目下选择Simulator Settings,在右侧出现的对话框中设置仿真器,选择Functional(7) 插入仿真节点在波形编辑窗口的name栏目下,单击鼠标右键,选择InsertInsert node or bus ,出现Insert node or bus的对话框,查找节点信息,插入节点 选择合理的筛选范围,单击list,列出所选节点信号,接着在nodes found栏目下选择波形仿真需要观察的节点信号,然

    29、后单击送入选中按钮,在selected nodes栏目下,列出选中节点,全部节点选择完成后,按ok确认5、编辑输入波形 选择菜单EditEnd Time,根据需要修改最大仿真时间选择菜单EditGrid size,根据需要修改网格大小6、运行仿真器选择菜单Projectingstart simulation或者单击工具栏中的仿真快捷键按钮运行仿真程序仿真得到的图形如下实验六 七段数码显示译码器设计 1实验目的(1) 掌握使用并行下载程序(2) 掌握数码显示的原理(3) 掌握FPGA开发板的基本结构2 、实验内容 (1)设计译码器的VHDL程序(由计数器得到译码器的输入值)(2)将VHDL程序下

    30、载到FPGA芯片中(3)连接连线,观察数码显示的结果(共阴数码管)3 、实验要求(1) 熟悉VHDL程序下载(2) 设计一个能显示1-9数字的程序,用数码管显示数字(3) 把自己认为好的实验结果写成实验报告。(要计成绩)实验步骤在Quartusll管理器窗口中选择菜单filenew project wizard,出现新建项目向导new project wizard对话框的第一页,在对话框中输入项目路径,项目名称和顶层实体文件名SMG 新建项目向导第二页,单击按钮”,可浏览文件选项,添加或删除与该项目有关的文件,然后next新建项目向导第三页,根据器件的封装形式,引脚数量和速度级别选择目标器件,选择cyclone,即系列中的EPLC6Q24C8芯片,然后单击next新建项目向导第四页,添


    注意事项

    本文(电子设计自动化技术实验.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开