欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    智能洗衣机控制器设计verilog编程.docx

    • 资源ID:3485587       资源大小:147.70KB        全文页数:14页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    智能洗衣机控制器设计verilog编程.docx

    1、智能洗衣机控制器设计verilog编程 智能洗衣机控制器设计报告 鑫鑫出品 2010-3-18一.设计背景2二功能简介基本功能 2扩展功能 3三模块设计及端口设置主模块main 3工作模式设定模块mode 4进水量设定模块Water_t 4无供水信号产生模块waterless 5危险信号产生模块Unsafe 5洗衣过程控制模块wash 6报警模块alart 7LED灯状态模块LEDs 7模块级连线图 8四电路工作原理 9以s的值为主要状态标志的基本工作流程图 10五Verilog源程序及注释11六小结18一.设计背景。 如今,带有微型控制芯片的家用电器迅速普及。通过用户简单的设定,这些智能家电

    2、能自动完成家务,并向用户及时提供反馈信息。这极大地方便了人们的生活。 智能洗衣机是智能家电的代表。用户只需按几个键,智能洗衣机就能自动完成注水、洗衣、排水、甩干等一系列工作,并在发生事故时给予用户报警并作出正确反应,极大减轻用户的洗衣负担,同时保障用户安全。基于以上功能要求,本人进行了智能洗衣机控制器的设计,并作出此设计报告。二功能简介。 基本功能:a.可选5种洗衣模式,列举如下 1强力洗衣模式:工作程序为, 注水-洗衣-排水-甩干 -注水-漂洗-排水-甩干 -注水-漂洗-排水-甩干。 其中洗衣为30分钟; 2普通洗衣模式:工作程序为, 注水-洗衣-排水-甩干 -注水-漂洗-排水-甩干 -注水

    3、-漂洗-排水-甩干。 其中洗衣为20分钟; 3强力洗衣模式:工作程序为, 注水-洗衣-排水-甩干 -注水-漂洗-排水-甩干 -注水-漂洗-排水-甩干。 其中洗衣为10分钟; 4漂洗模式:工作程序为, 注水-漂洗-排水-甩干 -注水-漂洗-排水-甩干。 5甩干模式:工作程序为,甩干。 以上五种模式中,进水时间为1-3分钟,漂洗为5分钟,排水2分钟,甩干3分钟。 b.显示洗衣完成所需时间。 c.用不同LED灯显示洗衣机当前的工作状态(注水、洗衣、排水、甩干)。d.完成洗衣任务时蜂鸣3声提示。 扩展功能:a. 进水量可调。根据衣物的多少,进水时间有1分钟、2分钟、3分钟三个档位,并用一个数码管显示所

    4、设时间。b. 进水时无水报警。蜂鸣器发出2秒的报警声,并中止洗衣工作。供水正常后恢复工作。c. 甩干时洗衣机盖被打开则报警。2秒报警声,同时中止甩干操作。重新盖上盖子后恢复工作。d. 有按键音。e. 设有 暂停/继续 键。可随时按下暂停洗衣机的工作。再次按下则继续工作。f. 用两个LED灯标志给电机的控制信号。在洗衣或漂洗时交替闪亮,象征电机顺时针/逆时针转动的转换。g. 用数码管显示当前工作状态(注水、洗衣、排水、甩干)所剩时间。三模块设计及端口设置。 为完成预定功能,设置1个主模块,7个子模块;使用2个时钟信号端口,6个按键,6个数码管和7个LED。 主模块main:调用其他模块,共同完成

    5、预定功能。输入端口:CP_1k,CP:分别为1kHz和1Hz方波,分别用于 产生蜂鸣信号 和 系统状态转换及计时的时钟信号; Start,Pause:开始 键和 暂停/继续 键; Set,Speed:功能设定,分别用来设定 工作模式 和 进水量; Open,No_water:警报信号,用来模拟打开洗衣机盖和供水中断事件的发生。输出端口: m3:0,v3:0:数码管显示信号,分别指示工作模式和进水量;Timer7:0,Timer_a7:0:数码管显示信号,分别表示当前过程剩余时间 和 完成所有工作剩余时间。 LED_s,LED_wi,LED_wo,LED_w,LED_d和 LED_l,LED_r

    6、:LED驱动信号,分别指示 正在工作、进水、出水、洗衣、甩干 和 电机的顺时针、逆时针旋转 状态。a:蜂鸣信号。用于 报警、提示 有键按下 和 洗衣完成。工作模式设定模块mode:设定工作模式。 输入端口: Set:设定工作模式模式的按键; 输出端口: m3:0:所设定的模式,BCD码,可取1到5的整数; 进水量设定模块Water_t:设定进水量。输入端口: Speed:设定进水量,按键;输出端口: V3:0:所设定的进水时间,BCD码,可取取1到3的整数;无供水信号产生模块waterless:产生无水报警信号。输入端口:No_water:供水中断/恢复信号,按键;输出端口: water_ou

    7、t:供水情况指示量,值为1表示无供水,0表示供水正常; 危险信号产生模块Unsafe:产生危险报警信号。输入端口:Open:洗衣机盖开/合信号,按键;输出端口: danger:洗衣机盖状态指示量,值为1表示打开,0表示关闭; 洗衣过程控制模块wash:控制洗衣过程的转换。输入端口: CP:1Hz时钟信号,来自时钟信号发生器;Start:开始工作命令信号,按键;m:工作模式,来自模块mode;v:进水时间,来自模块Water_t;water_out:供水情况,来自模块waterless;danger:危险状态,来自模块Unsafe;tp:暂停/继续的命令信号;输出端口: p:运行/暂停工作状态量

    8、,1表示正在运行,0表示暂停; finish:工作结束状态量,由0变为1表示工作结束;timer7:0:当前工作过程剩余时间,向上传给main中的Timer;timer_a7:0:完成全部工作剩余时间,传给main中的Timer_a;s2:0:工作状态指示量,从0到5分别指示 进水、洗衣、出水、甩干 和 完成信号有效;c2:0:取1到4,表示当前工作是第几轮; 报警模块alart:产生报警蜂鸣信号。输入端口: CP_1k:1kHz方波信号,用于产生蜂鸣信号,来自信号发生器;CP:1Hz方波信号,用于产生间断的蜂鸣信号,来自信号发生器;Start,Set,Pause ,Speed:按键音有效信号

    9、。另外Pause还用于突发情况时人为控制暂停/继续的控制键;No_water,water_out,Open,danger:控制报警音开始/结束的信号,来自模块waterless和Unsafe;finish:完成工作后提示音有效信号,来自模块wash;输入端口: a:报警音驱动信号 tp:暂停/继续信号。tp=1时暂停,tp=0时继续。LED灯状态模块LEDs:控制LED的亮灭。输入端口:s,c:洗衣机的工作状态指示量,用作那个灯亮的判断条件,来自模块wash;p:运行/暂停状态标志量,用于暂停时的闪亮效果,来自模块alart;CP:1Hz方波信号, 造成闪亮效果,来自信号发生器。输出端口:LE

    10、D指示灯驱动信号。LED_wi:进水; LED_wo:出水; LED_w:洗衣; LED_d:甩干; LED_s:正在运行; LED_l:电机顺时针转; LED_r:逆时针转。用Leonardo综合出的模块级连线图如下:以上子模块端口具体用途在电工作原理中涉及。四电路工作原理。 下面按电路的使用过程对电路工作原理进行描述。1. 按下Set键,在Set上升沿作用下,mode模块被调用,执行的操作是工作模式m增加1。其状态变化如下: 设定好工作模式后,按Speed键设定进水时间,water_t模块被调用,执行的操作是进水时间v增加1。其变化原理与m类似,只是变化范围是1-3分钟。 2.设定完毕,按

    11、Start键,洗衣过程开始,这时是wash模块被调用,wash模块中,由状态变量c记录洗衣的轮数(以进水到甩干为一轮),状态变量s代表当前的工作方式,即s=0为进水,s=1为洗衣,s=2为排水,s=3为甩干,s=4时进行完成提示音信号的延时,其状态转变流程如下:其中,ok=1是上一任务完成的标志,模式1,2,3中,c初值为4。轮回3次后c=1,s由3变为4,finish置1有效,完成提示音响(由module alart实现),同时延时计时器启动,计时完毕后,finish=0,提示音停。s=4状态的工作完成后,ok=0,s保持,直到下次启动(Start)再初始化。以s的值为主要状态标志的基本工作

    12、流程图: 3.报警功能,主要由alart模块实现。按No_water键,供水中断信号water_out=1有效。如果这时正在进水,则置c_e=1使报警音延时计时器开始计时,同时c_t=1使报警信号有效,开始发出报警音。延时器计时完毕,c_e、c_t复位,报警音关闭,报警结束。但进水工作仍处于中断状态。只有再此按下No_water键,表示供水恢复,则继续进水。 甩干过程中由Open键触发的洗衣机盖被打开的报警原理同上。 工作结束后的提示音由输入的finish信号控制。finish会有3个CP有效,和CP相与后,产生三声提示音。 暂停功能也有alart模块参与,按Pause键后,tp变化,会导致暂

    13、停有效信号取反,实现暂停/继续的功能。 等指示状态共用到7个LED来代表系统的工作状态。其驱动信号有效与否由系统目前的工作状态来判断。其具体代表的状态和实现方法已在端口介绍和源程序注释中说明,不另赘述。五Verilog源程序及注释。1.主模块main:module main(CP_1k,CP,Start,Pause,Set,Speed,Open,No_water,Timer,Timer_a,m,v,LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r,a); input CP_1k,CP,Start,Pause,Set,Speed,Open,No_water;

    14、 式选择模块mode:module mode(Set,m); input Set; 水时间设定模块water_t:module Water_t(Speed,v); input Speed; 衣过程控制模块wash:module wash(CP,Start,m,v,water_out,danger,tp,p,finish,timer,timer_a,s,c); input CP,Start,tp,water_out,danger; 水情况感应模块Waterless:module waterless(No_water,water_out); input No_water; 衣机盖开合状态感应模块U

    15、nsafe:module Unsafe(Open,danger); input Open; 警模块alart:module alart(CP_1k,CP,Start,Set,Pause,Speed,No_water,water_out,Open,danger,finish,s,a,tp); input CP_1k,CP,Start,Set,Pause,Speed,No_water,water_out,Open,danger,finish; /输入时钟信号及报警音控制信号 input 2:0s; /输入当前工作状态 output a,tp; /输出报警信号和暂停允许信号 reg c_e,c_t,

    16、tp; reg2:0 count; always(posedge Pause)begin /暂停/继续键Pause上升沿触发下, if(tp=1)tp=0; 暂停允许信号改变; else tp=tp+1; end always(posedge CP or posedge No_water or posedge Open or posedge Start)begin if(Start)c_e=0; /启动时,延时计时器使能位c_e复位为0 else if(No_water|Open)begin if(water_out=1&s2:0=3b000)|(danger=1&s2:0=3b011)c_e

    17、=1; /发生危险事件,使能端c_e置1 else c_e=0; end else if(CP)begin /c_e=1,以CP为时钟信号开始计时 if(count2:0=3b010)begin c_t=0;c_e=0;count2:0=0;end else if(c_e=1)begin count2:0=count2:0+3b001;c_t=1;end /计时中,计时进行标志位c_t=1 else if(c_e=0)count=0; end end assign a=(c_t|CP&finish)|(Start|Set|Pause|Speed)&CP_1k; /若警报延时计时器正在计时(c_

    18、t=1)或工作完成提示信号有效(finish=1)或有键按下(Start、Set、Pause、Speed)则发出相应报警音。endmodule 驱动模块LEDs:module LEDs(s,p,c,CP,LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r); input p,CP; /输入暂停允许信号p、时钟信号CP input 2:0s,c; /输入工作状态标志位s、c output LED_wi,LED_wo,LED_w,LED_d,LED_s,LED_l,LED_r; /输出各LED灯驱动电平 assign LED_wi=p(s=3b000&c1):C

    19、P&(s=3b000&c0); /进水时,LED_wi灯亮;暂停时闪烁 assign LED_w=p(s=3b001&c1):CP&(s=3b001&c1); /洗衣时,LED_w灯亮;暂停时闪烁 assign LED_wo=p(s=3b010&c1):CP&(s=3b010&c0); /排水时,LED_wo灯亮;暂停时闪烁 assign LED_d=p(s=3b011&c1):CP&(s=3b011&c0); /甩干时,LED_wi灯亮;暂停时闪烁 assign LED_s=p(s!=3b100&c1):CP&(s!=3b100&c1); /运行时,LED_s灯亮;暂停时闪烁 assign

    20、LED_l=(s=3b001|s=3b011)&c1)&p&CP; assign LED_r=(s=3b001&c1)&p&!CP; /LED_l与LED_r交替亮,表示电机的换向endmodule六小结。 本着尝试的心态,我摸索着使用Quartus2 软件进行本次设计。与之前使用的Maxplus2相比,所用到的基本功能相似。在程序调试仿真过程中,我体会到Quartus2功能更为强大。它的报错比较准确,更有利于debug。而且Quartus2对程序语法的要求更严格。比如在以A、B两信号触发的模块中,最上层的条件判断语句必须以A、B的状态为判断依据,如果写个if(c=0)之类的,即使逻辑上没有冲

    21、突,编译程序也会报错。而maxplus2没有这么严格。这样虽然写程序时要注意很多东西,会“麻烦”些,但正规的语法更能保障程序在实践中的可行性。本次设计的基本功能在第一周就实现,而对扩展功能的编写、调试则花了近两周。可见功能变复杂,实现起来会成倍的复杂。还好我前期的框架设计的比较好,避免了推倒重来的情况发生。对于本次设计的具体内容,个人觉得对洗衣机工作状态的划分和状态之间转变条件的把握是设计成功的难点和关键。我见过其他选此题目同学的编程思路,他们基本是按模式确定总工作时间,开始倒计时,计到一定数段,点亮响应的LED灯。我个人觉得这更像一个秒表而不是洗衣机智能控制器。而不以总时间为设计基础,针对洗衣机工作状态及其转换方式编程,更有效,也更易于功能扩展。将模式13理解为洗衣3个轮回,模式4是2个轮回,而每个轮回(周期)包含类似的几个状态,这是这类设计的精华所在。本设计中最多洗3个轮回,两种设计思路难分伯仲,但如果这个控制器有成百上千次周期性运作,显然要采用基于状态转换的编程方法,否则需要一个很大的计数器,过程冗杂,浪费资源。除了技术上的经验增长,本次设计的最大收获是又一次体会到设计工作的艰巨性。任何一个小疏忽都可能造成很大的损失,整个设计过程要投入充分的时间精力,专注谨慎的做好每个环节。


    注意事项

    本文(智能洗衣机控制器设计verilog编程.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开