欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    (完整版)基于FPGA的DDS信号发生器的研究本科毕业论文.docx

    • 资源ID:8969972       资源大小:418.68KB        全文页数:31页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    (完整版)基于FPGA的DDS信号发生器的研究本科毕业论文.docx

    1、毕业论文基于 FPGA 的 DDS 信号发生器的研究第 1 章 绪论1.1 课题背景频率检测是电子测量领域的最基本也是最重要的测量之一,频率信号抗干扰强,易于传输,可以获得较高的测量精度,所以频率方法的研究越来越受到重视1。在频率合成领域中,直接数字合成(Direct Digital Synthesizer,简称:DDS)是近年来新的技术, 它从相位的角度出发直接合成所需波形。 它是由美国人 J.Tierncy 首先提出来的,是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法2。其主要优点有: 频率改变速度快、频率分辨率高、输出相位连续、可编程、全

    2、数字化便于集成等,目前使用最广泛的一种 DDS 频率合成方式是利用高速存储器将正弦波的M 个样品存在其中,然后以查找的方式按均匀的速率把这些样品输入到高速数模转换器,变成所设定频率的正弦波信号3。近 30 年来,随着超大规模集成、现场可编程门阵列(Field Programmable Gate Array,简称:FPGA)、复杂可编程器件(Complex programmable Logic Device,简称:CPLD)等技术的出现以及对 DDS 理论上的进一步探讨,使得 DDS 技术得到了飞速的发展。它已广泛应用于通讯、雷达、遥控测试、电子对抗、以及现代化的仪器仪表工业等许多领域。DDS

    3、的数字部分,即相位累加器和查表,被称为数控振荡器(NCO)4。波形发生器即通常所说的信号发生器是一种常用的信号源,和示波器、电 压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到波形发生器。不论是在生产还是在科研与教学上,波形发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术 的飞速发展,现代电子测量工作对波形发生器的性能提出了更高的要求,不仅 要求能产生正弦波、方波等标准波形,还能根据需要产生任意波形,且操作方 便,输出波形质量好,输出频率范围宽,输出频率稳定度、准确度及分辨率高, 频率转换速度快且频率转换时输出波形相位连续等。而传统

    4、波形发生器采用专 用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要, 正逐步退出历史舞台。可见,为适应现代电子技术的不断发展和市场要求,研 究制作高性能的任意波形发生器十分有必要,而且意义重大。1.2 国内外波形发生器发展现状1.2.1 波形发生器的发展现状在 70 年代前,信号发生器主要有两类:正弦波和脉冲波。这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。在 70 年代后,微处理器的出现,可以利用处理器、A/D 和 D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的

    5、波形。这时期的波形发生器多以软件为主, 实质是采用微处理器对 DAC 的程序控制,就可以得到各种简单的波形。90 年代末,出现几种真正高性能、高价格的波形发生器、但是 HP 公司推出了型号为 HP770S 的信号模拟装置系统,它由 HP8770A 任意波形数字化和HP1776A 波形发生软件组成。HP8770A 实际上也只能产生 8 种波形,而且价格昂贵。到了二十一世纪,随着集成电路技术的高速发展,出现了多种工作频率可过 GHz 的 DDS 芯片,同时也推动了波形发生器的发展,2003 年,Agilent 的产品 33220A 能够产生 17 种波形,最高频率可达到 20M,2005 年的产品

    6、N6030A 能够产生高达 500MHz 的频率,采样的频率可达 1.25GHz。最近几年来,随着集成电路技术和器件水平的提高,国外一些公司先后推出各种各样的DDS 专用芯片,如 Qualcomm 公司的 Q2230、Q2334,AD 公司的AD9955、AD9850、AD9851、AD9852 等5。1.2.2 国内外波形发生器产品比较频率合成器被誉为电子系统的“心脏”,频率源的发展直接关系到电子系统 性能的发展。信号发生器是一种常用的信号源,广泛应用于通信、雷达、测控、电子对抗以及现代化仪器仪表等领域,是一种为电子测量工作提供符合严格技 术要求的电信号设备,和示波器、电压表、频率计等仪器一

    7、样是最普通、最基 本也是应用最广泛的电子仪器之一,几乎所有电参量的测量都要用到波形发生 器6。早在 1978 年,由美国 Wavetek 公司和日本东亚电波工业公司公布了最高取样频率为 5MHz,可以形成 256 点(存储长度)波形数据,垂直分辨率为 8bit, 主要用于振动、医疗、材料等领域的第一代高性能信号源,经过将近 30 年的发展,伴随着电子元器件、电路、及生产设备的高速化、高集成化,波形发生器的性能有了飞速的提高。变得操作越来越简单而输出波形的能力越来越强。波形操作方法的好坏,是由波形发生器控制软件质量保证的,编辑功能增加的越多,波形形成的操作性越好。目前我国已经开始研制信号发生器,

    8、并获得了可喜的成果,但总的来说,我国波形发生器还没有形成真正的产业,并且我国目前在波形发生器的的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫7。1.2.3 研究波形发生器的目的及意义波形发生器是信号源的一种,主要给被测电路提供所需要的己知信号(各种波形),然后用其它仪表测量感兴趣的参数8。多功能波形发生器采用 FPGA 器件作为核心控制部件,精度高稳定性好,得到波形平滑,特别是由于 FPGA 的高速度,能实现较高频率的波形9。目前我国己经开始研制波形发生器,并取得了可喜的成果。但总的来说,我国波形发生器还没有形成真正的产业。就目前国内的成熟产品来看,多为一些

    9、PC 仪器插卡,独立的仪器和 VXI 系统的模块很少,并且我国目前在波形发生器的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。1.3论文的主要工作与章节安排本文主要通过分析 DDS 的原理,进而得到 DDS 信号发生器的设计方案, 然后通过选材等一系列设计来完成 DDS 信号发生器的研究。其中第二章主要介绍 DDS 的基本原理以及优缺点。第三章则重点介绍了本次设计所采用的开发平台。第四章是本问重点介绍的对象,里面主要包含了设计的具体思路包括系统的实现以及系统工作流程情况。第五章是要是对实验结果进行分析。第 2 章DDS 波形发生器的理论介绍2.1 DDS 的基

    10、本原理与特点DDS 即直接数字频率合成技术,是由美国学者 J.Tiercy,M.Rader 和B.Gold 于 1971 年首次提出, 是一种以数字信号处理理论为基础,从相位概念出发直接合成所需波形的一种新的全数字技术的频率合成方法。从 1971 年至今,DDS 已从一个工程新事物逐渐发展成为一个重要的设计工具。与大家熟悉的直接式和间接式(PLL)频率合成技术不同,DDS 技术完全采用数字技术处理, 属于第三代频率合成技术。DDS 的主要优点是它的输出频率、相位和幅度能够在微控制器的控制下精确而快速的变换。DDS 的应用领域包括各类无线通信、有线通信、网络通信,各类需要频率信号的仪器、仪表、遥

    11、测、遥感设备、收音机和电视机等10。本节以正弦信号的产生为例,阐述 DDS 技术的基本原理。对于一个频谱纯净的单频正弦信号可以用下式来描述:Sout = Asinwt = Asin(2 foutt)(2-1)其相位为(2-2)q= 2 foutt显然,该正弦信号相位和幅值均为连续变量。为了便于采用数字技术,应对连续的正弦信号进行离散化处理,即把相位和幅值均转化为数字量。用频率为 fclk 的基准时钟对正弦信号进行抽样 ,这样,在一个参考时钟周期 T 内,相位的变化量为 Dq= 2 foutTclk= 2 foutfclk(2-3)由上式得到的 为模拟量,为了将其转化为数字量,将 2 切割成 2

    12、N 等份作为最小量化单位,从而得到 的数字量 M 为:(2-4)2 NM = 2pDq= 2 N fOUTfclkfout= fclk M2N将式(2-3)带入(2-4)得(2-5)式(2-5)表明,在参考时钟频 fclk 确定的情况下,输出正弦信号的频率fout 决定于 M 的大小,并且与 M 呈线性关系。通过改变 M 的大小,就可以改变输出正弦信号的频率,因此,M 也称频率控制字。当参考时钟频率取 2N 时, 正弦信号的频率就等于频率控制字 M。当 M 取 1 时,可以得到输出信号的最小频率步进为Df =fclk2N(2-6)由此可知,只要 N 取值足够大,就可以得到非常小的频率步进值。将

    13、相位转化为数字量以后,式(2-1)就可以描述为如下形式:(2-7)Sout= Asin(qk -1+ Dq) = Asin 2p(M N 2k -1+ M ) = Afsin(M k -1+ M )表示本周期相位值与前一个基准时钟周期的相位值的累加。从式(2-7)可以看出,只要用频率控制字 M 进行简单的累加运算,就可以得到正弦函数的当前相位值。而正弦信号的幅值就是正弦信号的当前相位值的函数。由于正弦函数为非线性函数,很难实时计算,一般通过查表的方法来快速获得函数值。有了上述理论分析,我们就可以得到一种用数字的方法获得正弦信号的方法:先构建一个 N 为的相位累加器,在每一个时钟周期内,将相位累

    14、加器中的值与频率控制字相加,得到当前的相位值。将当前的相位值作为 ROM 的地址, 读出 ROM 中的正弦波数据,再通过 D/A 转化成模拟信号。频率控制字越大, 相位累加器的输出变化越快,ROM 的地址变化也越快,输出的正弦信号频率越高。需要注意的是,受 ROM 容量的限制,ROM 地址位数一般小于相位累加器的位数,因此,把相位累加器输出的高位作为 ROM 的地址。只需要改变频率控制字,就可以改变输出信号的频率,因此,采用 DDS 技术,对输出信号频率的控制十分简单。DDS 正弦信号发生器的基本原理框图如图 2-1-1 所示。图 2-1DDS 正弦信号发生器基本原理框图2.2 DDS 信号的

    15、优点与缺点2.2.1 DDS 的优点(l)输出频率相对带宽较宽输出频率带宽为 50%fs(理论值),实际输出带宽仍可达到 40%fs。(2) 频率转换时间短频率时间等于频率控制字的传输时间,也就是一个时钟周期的时间。时钟频率越高,转换时间越短。DDS 的转换时间可达纳微秒级数量级,比使用其他的频率合成方法都要短数个数量级。(3) 频率分辨率高若时钟 fs 的频率不变,DDS 的频率分辨率就是由相位累加器的位数 N 决定。只要增加相位累加器的位数 N 即可获得任意小的频率分辨率。目前,大多数 DDS 的分辨率在 1Hz 数量级,许多小于 1mHz 甚至更小。(4) 相位变化连续改变 DDS 输出

    16、频率,实际上改变的是每一个时钟周期的相位增量,相位函数的曲线是连续的,只是在改变频率的瞬间其频率发生了突变,因而保持了信号相位的连续。(5) 输出波形的灵活性只要在 DDS 内部加上相应控制如调频控制 FM,调相控制 PM 和调幅控制AM 即可以方便灵活实现调频,调频和调幅等功能,产生FSK,PSK,ASK,MSK 等信号。另外,只要在 DDS 的波形存储器存放不同波形数据,就可以实现各种波形的输出,如三角波,锯齿波和矩形波甚至是任意波形。当 DDS 的波形存储器分别存放正弦和余弦函数表时,即可得到正交的两路输出。2.2.2 DDS 的缺点(1) 输出带宽范围有限由于 DDS 内部 DAC 和

    17、波形存储器(ROM)的工作速度有限,使得 DDS 输出的最高频率有限。目前市场上采用 CMOS,TTL,EcL,工艺制作的 DDS 芯片,工作频率一般在几十 MHz 至 400MHz 左右。采用 GaAS 工艺的 DDS 芯片工作频率可达 2GHz 以上。(2) 输出散杂大由于 DDS 采用全数字结构,不可避免地引入了散杂。其来源主要由三个: 相位累加器相位舍入误差造成的散杂;幅度量化误差造成的散杂和 DAC 非理想特性造成的散杂。2.3 本章小结本章主要介绍了 DDS 的原理。其中第一部分以正弦波为例子,对 DDS 原理在整个设中的重要意义进行讲解。后一部分则是分析 DDS 的优点以及缺点。

    18、第 3 章开发平台介绍3.1 硬件平台 FPGA 介绍3.1.1 FPGA 简介自 1985 年 Xilinx 公司推出有史以来第一颗现场可程序化逻辑组件至今, 已经历了超过二十几年的发展历史。在发展过程中,以 FPGA 为代表的数字系统现场集成取得了惊人的发展:现场可程序化逻辑组件从最初的 1200 个可利用逻辑闸,发展到 90 年代的 25 万个可利用逻辑闸。其后不到数年,著名 FPGA 厂商,包括 Altera 公司、Xilinx 等公司,又陆续推出了内建数百万逻辑闸以上的 FPGA 芯片,将现场可程序化组件的整合度提高到一个新的水平。如今,各厂商不再盲目追加逻辑闸的数量,转而努力消除过

    19、去 FPGA 弱势之处,以强化过的运算效能、更为节省的功耗,向各种运算领域扑天盖地而来。纵观现场可程序化逻辑组件的发展历史,其之所以具有巨大的市场吸引力, 在于 FPGA 不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使 FPGA 在某些情况下得以取代 ASIC 的市场,特别是对小量、多样,短开发期的产品需求,使FPGA 成为首选。3.1.2 FPGA 工作原理FPGA 采用了逻辑单元阵列 LCA(Logic Cell Array)这样一个新概念,内部包括可配置逻辑模块 CLB(ConfigurableLogicBlock)、输出输入

    20、模块 IOB(Input Output Block)和内部连线(Interconnect)三个部分。它的基本特点主要有:采用 FPGA 设计 ASIC 电路,用户不需要投片生产,就能得到合用的芯片。FPGA 可做其它全定制或半定制 ASIC 电路的中试样片。FPGA 内部有丰富的触发器和 I/O 引脚。FPGA 是 ASIC 电路中设计周期最短、开发费用最低、风险最小的器件之一。FPGA 采用高速 CHMOS 工艺,功耗很低, 可以与 CMOS、TTL 电平兼容。纵观现场可程序化逻辑组件的发展历史,其之所以具有巨大的市场吸引力,在于 FPGA 不仅可以解决电子系统小型化、低功耗、高可靠性等问题

    21、,而且其开发周期短、开发软件投入少、芯片价格不断降低,促使 FPGA 在某些情况下得以取代 ASIC 的市场,特别是对小量、多样, 短开发期的产品需求,使 FPGA 成为首选11。FPGA 是由存放在片内 RAM 中的程序来设置其工作状态的,因此,工作时需要对片内的 RAM 进行编程。用户可以根据不同的配置模式,采用不同的编程方式。 加电时,FPGA 芯片将 EPROM 中数据读入片内编程 RAM 中,配置完成后,FPGA 进入工作状态。掉电后,FPGA 恢复成白片,内部逻辑关系消失,因此,FPGA 能够反复使用。FPGA 的编程无须专用的 FPGA 编程器, 只须用通用的 EPROM、PRO

    22、M 编程器即可。当需要修改 FPGA 功能时,只需换一片 EPROM 即可。这样,同一片 FPGA,不同的编程数据,可以产生不同的电路功能。因此,FPGA 的使用非常灵活12。3.2 软件平台 Quartus II 介绍图 3-2 Quartus II 软件界面如图 3-2 所示为 Quartus II 软件的基本界面,Quartus II 软件是 Altera 的综合开发工具,它集成了 Altera 的 FPGA/CPLD 开发流程中所涉及的所有工具和第三方软件接口。QuartusII 几乎支持 Altera 现行的所有 FPGA,在该集成开发环境中可以实现电路的设计、综合、适配到最后形成下

    23、载文件以及在线配置 FPGA,还能对电路进行功能仿真,对适配后形成的最终电路进行时序仿真。也就是说只要有了 Quartus II 这个集成开发环境,就基本上可以完成 Altera 公司 FPGA 开发过程中的所有工作。另外,为了方便设计,Quartus II 还提供了免费 LPM 模块供用户调用,如计数器、存储器、加法器、乘法器等。除了这些免费的 LPM 模块外,Altera 公司还开发了有偿 IP 核提供给有需要的用户使用。这些 LPM 模块和 IP 核都大大简化了设计过程,缩短了开发周期。Quartus II 支持多种输入方式,常用的有:(1) 原理图输入:这种方法最直观,适合顶层电路的设

    24、计;(2) 硬件描述语言输入:包括 AHDL、VHDL 及 VerilogHDL 输入。采用硬件描述语言的优点易于使用自顶向下的设计方法、易于模块规划和复用、移植性强、通用性好。(3) 网表输入:对于在其他软件系统上设计的电路,可以采用这种设计方法, 而不必重新输入,Quartus II 支持的网表文件包括 EDIF、VHDL 及 Verilog 等格式。这种方法的优点是可以充分利用现有的设计资源。3.3 本章小结本章主要对本次设计所选择的开发平台进行简单介绍。FPGA 因为其不仅可以解决电子系统小型化、低功耗、高可靠性等问题,而且其开发周期短、开发软件投入少、芯片价格不断降低,所以成为首选。

    25、软件部分主要是对Quartus II 进行简单的介绍。第 4 章系统实现4.1 设计要求采用 DDS 技术设计一个信号发生器,其原理框图如图 4-1 所示:放大滤波驱动单片机最小系统显示键盘高速D/AFPGA电源模块输出信号图 4-1DDS 信号发生器原理框图设计要求如下:1、具有产生正弦波、方波、三角波三种周期性波形;2、输出信号频率范围 1Hz5MHz,重复频率可调,频率步进间隔小于等于1Hz;3、输出信号幅值范围 0.510V(峰-峰值),信号幅值和直流偏移量可数控调节;4、具有稳幅输出功能,当负载变化时,输出电压幅度变化不大于3%(负载电阻变化范围:50正无穷);5、具有显示输出波形类

    26、型、重复频率等功能。4.2 系统方案论证与比较4.2.1 产生 DDS 信号波形方案的选择目前主流的 DDS 信号发生器方案有两种:方案一、采用专用 DDS 集成芯片实现的信号发生器; 方案二、采用单片机+FPGA 实现的 DDS 信号发生器。比较这两种方案,专用 DDS 芯片内部的波形数据存放在 ROM 型存储器中, 波形数据无法修改故而只能产生固定波形的信号,但系统比较容易实现。而采用单片机+FPGA 实现的 DDS 信号发生器则是将波形数据存储器改为 FPGA 上的 RAM 行存储器,波形信号能实时改变,在利用单片机系统进行控制和处理后,能实现 DDS 任意波形发生器,功能更加完善,更新

    27、更加方便。故本设计选用方案二。4.2.2 单片机处理器比较选择方案一:采用 AT89C51 单片机处理电路,其拥有并行 I/O 口 32 个,对于实际应用来说远远不够,且不具备自带 AD、DA,使得电路结构复杂。方案二:C8051F360单片机内部资源非常丰富,是目前功能最全、速度最快的51内核SoC单片机之一,包括告诉8051微处理器内核,扩充终端处理系统,256字节内部RAM,1024字节XRAM和32KB的闪速存储器,多达39个I/O引脚, 两个内部振荡器和片内调试电路,能很好的完成本系统所需的单线程,键盘功能分支程序控制。在本设计中选用方案二。4.3 系统理论分析及设计4.3.1 总体

    28、设计本设计采用单片机+FPGA 实现的 DDS 信号发生器,整个 DDS 信号发生器由单片机子系统、FPGA 子系统、模拟子系统三部分组成,系统原理框图如下图 4-2 所示:3444X4键盘参考时钟DBC8051F360ABDDS子系统I/O IDA0CB高速D/A转换 器 VRREEFF幅值控制低通滤波器直流偏移量控制3数控电位器驱动电路放大电路地址译码电路LCD模块接口4X4键盘接口LCD模块信号输出图 4-2 系统原理框图4.3.2 主要技术参数的分析与确定DDS 信号发生器的技术指标取决于 DDS 系统的时钟频率、相位累加器的位数、波形数据表的长度等参数,下面对这些参数进行讨论,以选择

    29、适合的模拟电路元件以实现高质量的 DDS 信号。1、输出带宽当频率控制字 M=1 时,输出信号的最低频率为(4-1)fo,min= fclk2N式中,为参考时钟频率,N 为相位累加器的位数。当 N 取很大值时,最低输出频率可以认为达到 DDS 最低频率的零频。DDS 的最高输出频率由参考时钟周期和一个周期波形采样点数决定,若采样点数为 X,则最高输出频率为(4-2)2、频率分辨率频率分辨率由下式决定:fo,max= fclkX(4-3)Df =fclk2N在此式中,当 N 取值足够大时,DDS 信号可以达到很高的信号分辨率。3、 DDS 信号的质量由于 DDS 信号发生器采用全数字设计,不可避

    30、免在采样时会带来 D/A 产生的幅度量化噪声和相位累加运算截断产生的相位噪声。改善 DDS 信号质量的主要方法有:增加波形存储器和 D/A 的字宽;增加每个周期数据的样本数,提高外部参考时钟频率和通过低通滤波器来改善输出信号质量。综合上述讨论和对器件成本以及硬件系统复杂度的考虑,DDS 子系统的参数确定如下:1. 参考时钟频率:40MHz;2. 频率控制字的位宽:32 位;3. 相位累加器的位宽:32 位;4. 波形存储器的地址位宽:8 位;5. 波形存储器的数据位宽:8 位。4.3.3 数字部分电路设计该 DDS 信号发生器的数字部分包括单片机子系统、FPGA、高速 D/A 转换器、人机接口(12864 点阵式 LCD 模块和 44 矩阵式键盘)。单片机子系统需要完成键盘输入、液晶显示、向 FPGA 传送数据、输出信号幅值和直流偏移量的数字控制等功能。本设计中 DDS 信号发生器的键盘主要用于选择信号波形


    注意事项

    本文((完整版)基于FPGA的DDS信号发生器的研究本科毕业论文.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开