欢迎来到冰点文库! | 帮助中心 分享价值,成长自我!
冰点文库
全部分类
  • 临时分类>
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • ImageVerifierCode 换一换
    首页 冰点文库 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    广工eda课程设计数字密码锁.docx

    • 资源ID:9246780       资源大小:80.53KB        全文页数:8页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    广工eda课程设计数字密码锁.docx

    1、广工eda课程设计数字密码锁课 程 设 计 课程名称 硬件描述语言及EDA技术 题目名称 数字密码锁 学生学院 材料及能源 专业班级 学 号 学生姓名 指导教师 陈 先 朝 2017年 6月 11日 摘要:随着数字集成技术和电子设计自动化(EDA)技术的迅速发展,数字密码锁应运 而生。本文采用先进的EDA技术,利用QUARTUS 工作平台和Verilog HDL语言,设计了一种新型的数字密码锁,它不但可以完成锁具的基本功能,还能附加一些其他的智能,例如:报警、识别功能,数字密码锁具功能齐全,安全系数高,有机械锁无可比拟的优越性,它的造价成本低,易于操作,越来越受到众多客户的青睐,可以优先占领市

    2、场有利份额。 关键词:EDA技术;QUARTUS 工作平台;Verilog HDL语言;数字密码锁 1 引言 1.1 课程设计背景 数字密码锁随着电子工业的发展,数字电子技术已经深入到了人们生活的各个层面, 而且各种各样的电子产品也正在日新月异地向着高精尖技术发展。由于电子产品 的功能不断增加,使用也越来越方便,有些产品已经成为了人们日常生活中不可 缺少的必备物品。发展历史悠久的机械式门锁,因其功能单一,安全性能较差等 缺点,必将被新一代的电子门锁所代替。新颖的多功能电子门锁,集电子门锁、 防盗报警器,门铃等功能于一身,而且还具有定时器呼唤,断电自动报知,显示 屋内有无人和自动留言等诸多附加功

    3、能。在未来的生活中,数字密码锁必将在学 领域再创新的成绩,将给我们的生活带来更大的便利,前景不可估量。 1.2 课程设计目的 1. 掌握Verilog HDL语言编程方法,通过实践加深对理论知识的理解。 2. 学会Quartus软件的使用方法。 3.掌握数字密码锁的设计方法。 4.掌握波形仿真,学会分析实验现象,提高分析问题的能力。1.3 课程设计内容设计一个数字密码锁,用户可输入4位的二进制数,连续输入3次不正确则报警。开锁密码可手动预置,并可进行修改密码,取消报警。2 数字密码锁设计 2.1系统设计 根据系统的设计要求,系统设计采用自顶向下的设计方法。2.2程序代码module mms(r

    4、eset,set,a1,a2,a3,a4,enter,cancel,sel_led,sel,green,clk100, save,clk1);input reset,set,a1,a2,a3,a4,enter,cancel,clk100,clk1,save;output reg 7:0sel_led,sel;output reg 7:0green;reg1:0 scan;reg3:0 password,word;reg o1,o2,o3,o4,num,sum,bee;always(posedge clk1) begin/重置密码 if(!reset) begin o1=0; o2=0; o3=

    5、0; o4=0; end/输入密码 else if(!a1) begin o1=o1; word0=o4; end else if(!a2) begin o2=o2; word1=o3; end else if(!a3) begin o3=o3; word2=o2; end else if(!a4) begin o4=o4; word3=o1; end/设置密码 else if(!set) begin/密码输入正确时才可修改密码if(word0=password0&word1=password1&word2=password3&word3=password3) begin if(!a1) be

    6、gin o1=o1; password0=o4; end else if(!a2) begin o2=o2; password1=o3; end else if(!a3) begin o3=o3; password2=o2; end else if(!a4) begin o4=o4; password3=o1; end end end/确认密码 else if(!enter) beginif(word0=password0&word1=password1&word2=password3&word3=password3) begin green=8b10000000;/密码输入正确亮一个红灯 s

    7、um=0; end else sum=sum+2b01; end else if(!cancel)/关闭红灯 green=8b00000000; end always(posedge clk100) begin if(scan=2b11) scan=2b00; else scan=scan+2b01; endalways (scan)/进行数码管位选,高电平有效begin case(scan) 2b00:begin num=o1;sel=8b01000000;end 2b01:begin num=o2;sel=8b00100000;end 2b10:begin num=o3;sel=8b000

    8、10000;end 2b11:begin num=o4;sel=8b00001000;end default:sel=8b00000000; endcaseendalways(num) /BCD码译成七段数码管显示 begin case(num) 1b0:sel_led=8b00111111; 1b1:sel_led=8b00000110; endcase end/密码连续输入累计三次错误后,亮所有红灯 always(sum) begin if(sum=2b10) begin sum=0; green=8b11111111; end end endmodule2.3仿真结果波形分析:上电后,系

    9、统的初始密码为“0000”,按下reset键由键盘key输入密码“0000”,输入密码正确按确认键enter键锁开按,锁关闭开锁输出标志信号kai无效为1,显示开锁;在开锁状态下下拨set修改密码键后,由键盘key输入新密码0001后按确认键enter密码修改成功,上拨set键密码锁上锁,此时密码锁密码为新密码;若按下start键后输入的密码错误如图“1111”及密码锁密码“0000”不同,累积三次后,报警信号有效,锁不开且报警。若按下start键后输入的密码正确如图“1111”及密码锁密码“1111”相同,kai开锁信号有效,锁开且不报警。4 应收集的资料及主要参考文献1.陈先朝,硬件描述语

    10、言及EDA技术实践指导书,2017年3月2.潘松等编著,EDA技术及Verilog HDL ,电子工业出版社,2013年;3.现代数字电子技术及Verilog设计,清华大学出版社,2014年; 4.王金明等编著,EDA技术及Verilog HDL设计,电子工业出版社,2013年;5.刘靳等编著,Verilog程序设计及EDA ,西安电子科技大学出版社,2012年;6.刘福奇主编,Verilog HDL 应用程序设计实例精讲,电子工业出版社,2012年;7.周润景等主编,基于Quartus 的数字系统Verilog HDL设计实例详解,电子工业出版社,2010年。发出任务书日期: 2017年6月 5日 指导教师签名:陈先朝计划完成日期: 2017年6月 9日 基层教学单位责任人签章:主管院长签章:


    注意事项

    本文(广工eda课程设计数字密码锁.docx)为本站会员主动上传,冰点文库仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰点文库(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2023 冰点文库 网站版权所有

    经营许可证编号:鄂ICP备19020893号-2


    收起
    展开